Toppan Photomasks
2018-06-21 review of event

The 34th European Mask and Lithography Conference - EMLC 2018

June 18-20, 2018 | Grenoble, France

Reports, Downloads, Pictures

Review from EMLC 2018

18.06. – 20.06.2018, Grenoble
34th Mask and Lithography Conference EMLC 2018
www.emlc-conference.com

News from the 34th Masks and Lithography Conference, EMLC 2018

„Extreme UV lithography" continues to make progress, but a defect free mask with usable pellicle is still in development.  

Bienvenue à Grenoble ! 

From 18 June to 20 June 2018, the 34th Mask and Lithography Conference, EMLC2018 took place at the MINATEC Conference Centre in Grenoble, France.

After 2007 and 2010 the EMLC is the third time in Grenoble this year.

Why Grenoble?

There are three microelectronics centres in Europe: Dresden, Leuven/Eindhoven and Grenoble.
Grenoble is one of the world's top microelectronics ecosystems. More than 40 globally operating companies form a value chain for microelectronics. Grenoble is often called Europe's "Smart Valley".
The three-day conference began with a tutorial session. Topics were the inspection challenges in the EUV field and an overview of OPC (Optical Proximity Correction), RET (Resolution Enhancement Technology) and SMO (Source-Mask-Optimation) methods.

At the international VDE symposium in the MINATEC Conference Centre approx. 150 participants from eleven countries took part. The participation of the French was extraordinarily high. 40% of all contributions came from the area around Grenoble. The main contributors were CEA-LETI and ST in Crolles. Dr. Uwe Behringer, Managing Director of the international management consultancy UBC Microelectronics, acted as Chairman for 25 years.
 
Besides the latest developments in the field of optical lithography and multi-beam mask writers, the main focus of the conference was on topics such as metrology, photonic and other non-semiconductor applications.
Thus the spectrum of the conference was extremely broad and informative.


What was new, what was interesting? 

The first keynote speaker Olivier Noblance from ST, Crolles informed about the technology for optical sensors.
He mentioned that ST Crolles has a 200mm line with 6,700 m2 cleanroom for structuring up to 120nm
and a 300mm line with 12,600 m2 cleanroom for structuring up to 28nm. 
The market for CMOS Image Sensors was $13 billion in 2017 with an annual growth rate of 10.5%. "CMOS Image Sensors" accounted for 89% of the total "Image Sensor" market in 2017.

Let's look for more highlights.

The second keynote speaker Laurent Pain of CEA-LETI in Grenoble noted in his presentation "The Battle Field of Lithography" that lithography is the "key technology" for any new technology introduction.
He asked the question: Do we need new technologies beyond light optics?
For now, optical lithography covers the full resolution range, but the cost of reticles has tripled in the last 10 years.

New technologies like EUV lithography alone cost $100 million for an exposure unit. Here the complex masks and the still missing suitable resist are still the bottlenecks.

He sees alternatives in the use of "Multi Beam" technology. Here there are several thousand electron beams that structure in parallel without masks. He sees further alternatives in NIL (Nano Imprint Lithography). In this nanoimprint lithography, a template (e.g. a structured quartz plate) is pressed directly into the resist like a stamp. Three technologies are used: "Roller Imprint", where the strip to be structured is driven under a rotating roller with the structures through it. With "Step and Step / Flash" only a part of the base is structured. The base is then moved on and a new part of the base is structured. In the third process, the entire document is structured, such as when pressing a CD or DVD. 

What progress has been made in "extreme UV lithography" (EUVL)?

Let us take a closer look at "Extreme UV Lithography". There are many differences to normal optical lithography. First of all, there is a vacuum inside the exposure unit. Secondly, the exposing radiation is soft X-rays with a wavelength of 13.6 nanometers.
The radiation cannot be focused by quartz lenses, but is focused by reflecting mirrors. Thirdly, the mask consists of a very complex multilayer sequence. In order to protect the mask from small particles, a thin foil (pellicle) is stretched over the mask at a small distance. Small particles on this film are not transferred during exposure. This technique is also used in light optics. Only with EUVL the radiation has to pass through the foil twice. With a transparency of approx. 83%, the output of the radiation drops to approx. 70%. The imaging mirrors also have no 100% reflection, so that the radiation intensity decreases further. A high radiation intensity at the source is very important for the throughput (wafer/hour).

Lasers with extreme power are used to generate high EUV radiation power. TSMC, Samsung, Intel and Globalfoundries are about to introduce EUV in 2018 - 2020 for logic manufacturing and Samsung also for memory products.
The areas: faultless masks,ellicles with high transparency, highly sensitive resist and last but not least the extreme performance of the lasers to generate the EUV radiation are still a big challenge.
The first EUV exposure devices are in use. This technology will prevail.

The next EMLC, the 35th European Mask and Lithography Conference, EMLC2019 will take place from 17 to 19 June 2019 at the Hilton Hotel in Dresden, Germany.
Uwe Behringer, UBC Microelectronics, Ammerbuch, EMLC Conference Chair
Ines Stollberg, Vistec Electron Beam, Jena, EMLC Program Chair

International Program Committee

Conference Chairs

Behringer, Uwe, UBC Microelectronics, Ammerbuch, Germany
Finders, Jo, ASML, Veldhoven, The Netherlands

Co-Conference Chairs

Connolly, Brid, Toppan Photomasks Inc., Dresden, Germany
Gale, Chris, Applied Materials, Dresden, Germany
Hayashi, Naoya, DNP, Saitama, Japan

Program Chairs

Stolberg, Ines, Vistec Electron Beam, Jena, Germany
Erdmann, Andreas, Fraunhofer IISB, Erlangen, Germany

Co-Program-Chairs

Seltmann, Rolf, Globalfoundries, Dresden, Germany
Sarlette, Daniel, Infineon, Dresden, Germany

Other Members

Ehrmann, Albrecht, Carl Zeiss SMT Oberkochen, Germany
Farrar, Dave, HOYA Corporation, London, UK
Galler, Reinhard, EQUicon, Jena, Germany
Jonckheere, Rik, IMEC, Leuven, Belgium
Kapilevich, Izak, Applied Materialsc Inc., Santa Clara, CA, USA
Lauche, Barbara, Photronics MZD GmbH, Dresden, Germany
Le Gratiet, Bertrand, ST Microelectronics, Crolles, France
Levinson, Harry, Globalfoundries, Santa Clara, CA, USA
Loeschner, Hans, IMS Nanofabrication GmbH, Vienna, Austria
Muehlberger, Michael, Profactor GmbH, Steyr-Gleink, Austria
Pain, Laurent, CEA-LETI, Grenoble, France
Peters, Jan Hendrik, bmbg consult, Radebeul, Germany
Progler, Chris, Photronics Inc., San Jose, CA, USA
Roeth, Klaus-Dieter, KLA-Tencor MIE, Weilburg, Germany
Savari, Serap, Texas A&M University College Station, USA
Scheruebl, Thomas, Carl Zeiss SMT GmbH, Jena, Germany
Schnabel, Ronald, VDE/VDI-GMM, Frankfurt, Germany
Schulze, Steffen, Mentor Graphics Corp. Wilsonville, OR, USA
Tschinkl, Martin, AMTC, Dresden, Germany
Waelpoel, Jacques, ASML, Veldhoven The Netherlands
Wiley, Jim, ASML US Inc., Santa Clara, CA, USA
Wolf, Hermann, Photronics MZD GmbH, Dresden, Germany
Wurm, Stefan, ATICE LLC, Albany, NY, USA
Yoshitake, Shushuke, Yokohama, NuFlare, Japan
Zurbrick, Larry, Keysight Technologies, Santa Clara, CA, USA

Tutorial

EMLC 2018 Conference attendees have the possibility of taking part in a Tutorial at the MINATEC Conference Center on Monday, June 18th  2018, 14:00 to 17:00

TimeTopic
14:00

Welcome
Uwe Behringer, UBC Microelectronics,
EMLC 2018 Conference Chair

Introduction of the first Tutorial Speaker
Izak Kapilevic, Applied Materials, USA

14:05 - 15:20Inspection Challenges in the EUV Area
Aviram Tam, Applied Materials PDC, Israel

This Tutorial will cover the use cases for blank
inspection, pattern inspection, outgoing inspection,
and the possible solution for DUV mask inspection,
eBeam MI, Actinic blank inspection, on-wafer
qualification, and how those evolve in each of the
different scenarios for pellicle (no pellicle / detachable
/ 13.5nm only / 193nm friendly).
15:20 - 15:40Coffee Break
15:40 - 15:45Introduction of the second Tutorial Speaker
Jo Finders, ASML Netherlands B.V.,
Veldhoven, The Netherlands
15:45 - 17:00

Review of OPC/ RET/ SMO
Paul van Adrichem, ASML Netherlands B.V.,
Veldhoven, The Netherlands

This tutorial will provide an overview of advanced
Optical Proximity Correction, Resolution
Enhancement Technology as well as
Source-Mask-Optimization.

17:00Get-Together and First Poster Session
Uwe Behringer, Chair of EMLC2018, welcomes
the participants and invites them to join the
Get-Together concurrently with the first
Poster Session.