EMLC

www.emlc-conference.com

| Photo provided by Toppan Photomasks
2024-04-09 event information

Program

Welcome to the EMLC 2024 in Grenoble, France

The EMLC Conference annually brings together scientists, researchers, engineers and technicians from research institutes and companies from around the world to present their latest findings in mask and lithography techniques. It provides an overview of the current state of mask and lithography technologies and future strategy. Mask manufacturers and users have the opportunity to familiarize themselves with the latest developments and results.

Promising and brand new, the EMLC 2024 Conference Program.
You can access the program in the download area or have a brief view at the shortened program schedule below.

Downloads + Links

EMLC 2024 Chair

Uwe Behringer

Uwe Behringer

Uwe Behringer, UBC Microelectronics, Germany

EMLC 2024 Conference Chair

Uwe Behringer

Uwe Behringer, UBC Microelectronics, Germany

EMLC 2024 Conference Chair

EMLC 2024 - Program Schedule

Sessions on Monday, June 17th, 2024

Session-1: Tutorial Presentations 

14:10 – 15:05
1st TUTORIAL:
The challenges for the development of sustainable patterning
Laurent Pain / CEA-Leti, Grenoble (France)

15:05 – 16:00
2nd TUTORIAL:
DSA for Advanced Patterning
Raluca Tiron / CEA-Leti, Grenoble (France)

16:30 – 18:30  

Session-2: Student Presentations  

18:30 – 18:50

Invited – BACUS 2023 Best Paper 

Improvements on pattern fidelity at high curvature region of curvilinear mask with a novel method of MPC
Ai Kaneko, Taigo Fujii, Itaru Ono, Ahmad Syukri Bin Abdollah, Yohei Torigoe, Mincheol Kim, Sukho Lee, Eokbong Kim, Sanghee Lee, Nippon Control System Corp. (Japan) and SAMSUNG Electronics Co., Ltd. (Korea, Republic of)

19:00 – 21:00

EMLC 2024 Get Together, sponsored by Zeiss, Advantest

Sessions on Tuesday, June 18th, 2024

09:00 – 09:20        

Announcement of SPIE Photomask Technology (‘BACUS’) & EUV Lithography 2024

Announcement of Photomask Japan 2025  

Session 3: 1st Plenary

09:20 – 09:50
Keynote
Title t.b.d.

Serge Nicoleau / STMicroelectronics, Crolles (France), Group Vice-President Technology

09:50 – 10:20
Keynote
Recent progress in NIL system development and applications
Masayuki Kagawa / CANON Corp, Utsunomiya (Japan) 

10:20 – 10:40
Invited
Semiconductor Device Patterning Equipment : market status and perspectives

Taguhi Yeghoyan, Gaël Giusti, Merle Zhao, and John West, Yole Group, Villeurbanne / Lyon (France)

11:10 – 12:30           

Session 4: Data Analytics 

11:10 – 11:30
Invited
Mask management in optimized photolithography scheduling of a high-mix semiconductor manufacturing facility

Renaud Roussel, Camille Babin, Abdel Bitar, Sebastian Knopp, STMicroelectronics, Crolles (France) and Planimize, Gardanne (France)

14:00 – 15:10    

Session 5:  DUV and EUV Lithography

14:00 – 14:20
Invited
The next step in Moore’s Law: High NA EUV imaging and overlay performance

Jan van Schoot, Sjoerd Lok, Rob van Ballegoij, Eelco van Setten, Guido Schiffelers, Rudy Peeters, Jara Garcia SantaClara, Peter Vannoppen, Paul Graeupner, Peter Kuerz, Thomas Stammler, ASML Netherlands B.V., Veldhoven (The Netherlands) and Carl Zeiss SMT GmbH, Oberkochen (Germany)

14:20 – 14:40        
Invited
DUV lithography optics for today’s markets with the future in mind

Stefan Baueregger, Wolfgang Emer, Carl Zeiss SMT GmbH, Oberkochen (Germany)

15:40 – 17:20   

Session 6: Mask Patterning and Processing         

15:40 – 16:00

Invited
Progress in Resolving Mask Making Challenges to Enable HVM Curvilinear Patterning

Frank E. Abboud, Mahesh Chandramouli, Intel Mask Operations (IMO), Intel Corporation, Santa Clara, California (USA)    

16:00 – 16:20

Invited
The merchant mask shop journey to MultiBeam Writers

Nico Noack, Birk Brummack, Bríd Connolly, Advanced Mask Technology Center Dresden GmbH & Co.KG (AMTC), Dresden (Germany) and Toppan Photomask Dresden GmbH, Dresden (Germany) 

17:30 – 19:00           

Session 7: Poster Session

19:30 – 22:00           

EMLC 2024 Conference Dinner sponsored by Pozzetta

Wednesday, June 19th, 2024

09:00 - 10:20            

Session 8: 2nd Plenary  

09:00 – 09:30
Keynote
FAMES
The EU Chip Act pilot line program and its ecosystem to support

FD-SOI technology extension down to 7nm node, Jean-René Lèquepeys / CTO CEA-Leti, Grenoble, (France)

09:30 – 10:00

Keynote
High NA EUV patterning ecosystem readiness to continue the logic scaling roadmap

Kurt Ronse / Advanced Patterning Program Director imec, Leuven (Belgium)

10:00 – 10:20
Invited – Best Paper of PMJ 2024

10:50 – 12:10 

Session 9: Mask Metrology, Tuning and Inspection  

10:50 – 11:10        
Invited
30 years AIMS® - from DUV to EUV

Ute Buttgereit and Thomas Scheruebel, Carl Zeiss SMT GmbH, Jena (Germany) 

11:10 – 11:30

Invited
High-NA EUV mask pattern characterization using advanced mask CD-SEM metrology

Joost Bekaert, Balakumar Baskaran, Vicky Philipsen, Lieve Van Look, Ardavan Niroomand, Eric Hendrickx, Hideaki Komami, Tatsuro Okawa, Soichi Shida, Shinichi Kojima, Toshimichi Iwai, imec, Leuven (Belgium), Advantest Corporation and Advantest America, Inc. 

Session 10: Optical and E-Beam Direct Write, with  Applications for Photonics, AR/VR and Quantum Computing 

13:30 – 13:50
Invited
Character-projection e-beam lithography for micro- and nano-optical applications

Uwe D. Zeitner, Falk Eilenberger, Fraunhofer Institute of Applied Optics and Precision Engineering,Jena (Germany) and University of Applied Sciences Munich, Munich (Germany) 

13:50 – 14:10
Invited
Optimal shape approximation and writing strategy for integrated photonic waveguides using variable-shaped e-beam direct lithography

Kevin Edelmann, S. Fasold, M. Greul, J. Hartbaum, E. Linn, I. Stolberg, U. Weidenmueller, Institut für Mikroelektronik Stuttgart, Stuttgart (Germany) and Vistec Electron Beam GmbH, Jena (Germany)   

14:10 – 14:30
Invited
Traceability in Automotive Enabled by Digital Lithography

Ksenija Varga, M. Weinhart, R. Hollya, T. Zenger, B. Považay, T. Uhrmann, H. Takishita, Y. Taguchi, J. Koch, M. Schicke, EV Group, St Florian am Inn (Austria), Fujifilm Electronic Materials Japan, Yokohama, (Japan) and Fujifilm Electronic Materials Europe, Zwijndrecht (Belgium)   

15:30 – 17:05           

Session 11: Nano-Imprint Lithography (NIL) 

15:30 – 15:50
Invited
NIL mastering using advanced manufacturing imaging technology

Bríd Connolly, Martin Sczyrba, Toppan Photomask Company, Dresden (Germany) and Advanced Mask Technology Center GmbH & Co. KG (AMTC), Dresden (Germany)

Session 12: Pattern Fidelity 

17:05 – 17:25        

Invited
Your IQ: Understanding Image Quality In Advanced Photomask Applications

Christopher Progler, Photronics, Inc., Plano, Texas (USA)   

17:25 – 17:45        

Invited
Revolutionizing Semiconductor Design and Manufacturing: from Manhattan to Curvilinear

Ryoung-han Kim, imec, Leuven (Belgium) 

17:45 – 18:05        

Invited
The Challenges and Limits to Patterning Using EUV Lithography

Harry J. Levinson, HJL Lithography, Saratoga, California (USA) 

18:05 – 18:15          

ZEISS Award for Talents in Photomask Industry 

           

Sponsors