EMLC

www.emlc-conference.com

| Photo provided by Toppan Photomasks
2024-04-09 event information

Program

Welcome to the EMLC 2024 in Grenoble, France

The EMLC Conference annually brings together scientists, researchers, engineers and technicians from research institutes and companies from around the world to present their latest findings in mask and lithography techniques. It provides an overview of the current state of mask and lithography technologies and future strategy. Mask manufacturers and users have the opportunity to familiarize themselves with the latest developments and results.

Promising and brand new, the EMLC 2024 Conference Program.
You can access the program in the download area or have a brief view at the shortened program schedule below.

Downloads + Links

EMLC 2024 Chair

Uwe Behringer

Uwe Behringer

Uwe Behringer, UBC Microelectronics, Germany

EMLC 2024 Conference Chair

Uwe Behringer

Uwe Behringer, UBC Microelectronics, Germany

EMLC 2024 Conference Chair

EMLC 2024 - Program at a Glance

Sessions on Monday, June 17th, 2024

Session-1: Tutorial Presentations 

14:10 – 15:05
1st TUTORIAL:
The challenges for the development of sustainable patterning
Laurent Pain / CEA-Leti, Grenoble (France)

15:05 – 16:00
2nd TUTORIAL:
DSA for Advanced Patterning
Raluca Tiron / CEA-Leti, Grenoble (France)

16:30 – 18:30  

Session-2: Student Presentations  

16:30 - 16:45
Training dataset optimization for deep learning grayscale free form masks design
Merlin Moreau1, Jean-Baptiste Henry1, Gaby Bélot2, Stéphane Bonnet1
1 Univ. Grenoble Alpes, CEA-Leti, Grenoble, (France)
2 STMicroelectronics, Crolles (France)

16:45 - 17:00
Imaging effects of particles on the surface of EUV mask and wafer
Rawan Semaan1, Gerardo Bottiglieri2, Andreas Erdmann3, Gijsbert Rispens2, Laurens de Winter2, Steven Beekmans2
1 Friedrich-Alexander-Universität Erlangen-Nürnberg (Germany)
2 ASML Netherlands B.V. (The Netherlands)
3 Fraunhofer IISB, Erlangen, (Germany)

17:00 - 17:15
Electro-liquefaction of chromium films for lithography applications
Swapnendu N. Ghosh, Santanu Talukder

Indian Institute of Science Education & Research Bhopal (India)

17:15 - 17:30
Modeling of Multi-Trigger Resists
Thiago José dos Santos1, Zelalem Belete1, Andreas Erdmann1, Alex P. G. Robinson2,3, Carmen Popescu2, Alexandra McClelland2
1 Fraunhofer IISB, Erlangen (Germany)
2 Irresistible Materials, Birmingham (UK)
3 University of Birmingham, Birmingham (UK) 

17:30 - 17:45
Self-assembly of shape-complementary DNA origamis for lithography applications 
Nicolas Triomphe1,2, Ludwig Rotsen1,2, Allan Mills 1, Joséphine Lai-Kee-Him 1, Aurélie Ancelin1, Guido Rademaker2, Raluca Tiron 2,
Gaëtan Bellot
1  Université de Montpellier, Montpellier, (France)
2 Université Grenoble Alpes, CEA-Leti, Grenoble, (France)  

18:30 - 18:50
Invited – BACUS 2023 Best Paper 
Improvements on pattern fidelity at high curvature region of curvilinear mask with a novel method of MPC

Ai Kaneko, Taigo Fujii, Itaru Ono, Ahmad Syukri Bin Abdollah, Yohei Torigoe, Mincheol Kim, Sukho Lee, Eokbong Kim, Sanghee Lee, Nippon Control System Corp. (Japan) and SAMSUNG Electronics Co., Ltd. (Korea, Republic of)

19:00 – 21:00

EMLC 2024 Get Together, sponsored by Zeiss, Advantest

Sessions on Tuesday, June 18th, 2024

Session 3: 1st Plenary

09:20 – 09:50
Keynote
Title t.b.d.

Serge Nicoleau / STMicroelectronics, Crolles (France), Group Vice-President Technology

09:50 – 10:20
Keynote
Recent progress in NIL system development and applications
Masayuki Kagawa / CANON Corp, Utsunomiya (Japan) 

10:20 – 10:40
Invited
Semiconductor Device Patterning Equipment : market status and perspectives

Taguhi Yeghoyan, Gaël Giusti, Merle Zhao, and John West, Yole Group, Villeurbanne / Lyon (France)

11:10 – 12:30  
Session 4: Data Analytics 

11:10 – 11:30
Invited
Mask management in optimized photolithography scheduling of a high-mix semiconductor manufacturing facility

Renaud Roussel, Camille Babin, Abdel Bitar, Sebastian Knopp, STMicroelectronics, Crolles (France) and Planimize, Gardanne (France)

11:30 - 11:45
Computational overlay as enabler for smarter sampling and enhanced process control
Leon van Dijk1, Auguste Lam2, Kedir Adal1, Niyam Haque1, Manav Tyagi1, Bertrand Le-Gratiet2, Richard van Haren1
1 ASML Netherlands B.V., Veldhoven, (The Netherlands)
2 STMicroelectronics, Crolles (France)

11:45 - 12:00
Using emulated images for training a neuronal network applied to SEM contour detection
Sven Bauerdick, K. Reuther, P. Weber, F. Imeri, S. Meyer, K. Gieb, Ulrich Hofmann
GenISys GmbH, Taufkirchen (Germany)

12:00 - 12:15
The role of the pattern feature space signature to train etch machine learning model from single pattern SEM contours
François Weisbuch1, Nivea Schuch2, Thiago Figueiro2
1 GlobalFoundries, Dresden (Germany)
2 Applied Materials, Grenoble (France)

14:00 – 15:10
Session 5:  DUV and EUV Lithography

14:00 – 14:20
Invited
The next step in Moore’s Law: High NA EUV imaging and overlay performance

Jan van Schoot, Sjoerd Lok, Rob van Ballegoij, Eelco van Setten, Guido Schiffelers, Rudy Peeters, Jara Garcia SantaClara, Peter Vannoppen, Paul Graeupner, Peter Kuerz, Thomas Stammler, ASML Netherlands B.V., Veldhoven (The Netherlands) and Carl Zeiss SMT GmbH, Oberkochen (Germany)

14:20 – 14:40        
Invited
DUV lithography optics for today’s markets with the future in mind

Stefan Baueregger, Wolfgang Emer, Carl Zeiss SMT GmbH, Oberkochen (Germany)

14:40 - 14:55
Stitching at resolution for High NA: an experimental process window study
Lieve Van Look1, Vincent Wiaux1, Natalia Davydova2, Guillaume Libeert1, Tatiana Kovalevich1, Nick Pellens1, Ataklti Weldeslassie1, Frank Timmermans2, Laura Huddleston2
1 imec, Leuven (Belgium)
2 ASML Netherlands B.V., Veldhoven (The Netherlands) 

14:55 - 15:10
Optical investigation of stacked absorber type black border on EUV Masks
Daimu Ikeya, Yohei Ikebe, Tsutomu Shoki
HOYA Group LSI Division, Tokyo (Japan) 

15:40 – 17:20  
Session 6: Mask Patterning and Processing         

15:40 – 16:00
Invited
Progress in Resolving Mask Making Challenges to Enable HVM Curvilinear Patterning

Frank E. Abboud, Mahesh Chandramouli, Intel Mask Operations (IMO), Intel Corporation, Santa Clara, California (USA)    

16:00 – 16:20
Invited
The merchant mask shop journey to MultiBeam Writers

Nico Noack, Birk Brummack, Bríd Connolly, Advanced Mask Technology Center Dresden GmbH & Co.KG (AMTC), Dresden (Germany) and Toppan Photomask Dresden GmbH, Dresden (Germany) 

16:20 - 16:35 
Study of EB resist lithographic performance for CAR extension toward future generation
Kei Yamamoto, Kotaro Takahashi / FUJIFILM, Corporation, Shizuoka, (Japan) 

16:35 - 16:50 
Recent progress of multi-beam mask writer MBM-3000
Issei Aibara, Hiroshi Matsumoto, Jumpei Yasuda, Kenichi Yasui, Tomoo Motosugi, Hayato Kimura, Michihiro Kawaguchi, Yoshinori Kojima, Masato Saito, Noriaki Nakayamada / NuFlare Technology, Inc., Yokohama (Japan)

16:50 - 17:05
MBMW-100 Flex, a versatile multi-beam mask writer for mature and advanced mask nodes
Mustapha Chouiki, Mathias Tomandl, Christof Klein, Hans Loeschner, Elmar Platzgummer /
IMS Nanofabrication GmbH, Brunn am Gebirge and Vienna (Austria)

17:05 - 17:20
Model- and Multilayer Compensation Methods for Thermal Position Drifts
Andreas Weu1, Thomas Ding1, Benedikt Roland1, Simon Aigner1, Achim Jehle1, Matthias Wahl1, Kwangsik Jo2, Jonghak Kim2,
Sungjin Choi2, Bonghoi Hur2
1 Heidelberg Instruments Mikrotechnik GmbH, Heidelberg (Germany)
2 Microimage Co.,Ltd., Sejong (Republic of Korea)

17:30 – 19:00 
Session 7: Poster Session: For more details pls. see the program leaflet in the download area

19:30 – 22:00           

EMLC 2024 Conference Dinner sponsored by Pozzetta

Wednesday, June 19th, 2024

09:00 - 09:30
Zeiss Award and Announcement of  BACUS 2024 and PMJ 2025  ZEISS Award for Talents in Photomask Industry on the occasion of the 39 th European Mask and Lithography Conference 2024 (EMLC2024) in Grenoble / France by Thomas Franz Karl Scheruebl, Carl Zeiss Semiconductor Mask Solutions (SMS)

Announcement of SPIE Photomask Technology (‘BACUS’) & EUVL 2024 Conference  by t.b.d.

Announcement of PMJ (Photomask Japan) 2025 Conference  by Hiroshi Nakata  DNP - Program Committee Chair of Photomask Japan

09:30 - 11:20            
Session 8: 2nd Plenary  

09:30 – 10:00
Keynote
The EU Chip Act pilot line program and its ecosystem to support

FD-SOI technology extension down to 7nm node, Jean-René Lèquepeys / CTO CEA-Leti, Grenoble, (France)

10:00 - 10:30 
Keynote
High NA EUV patterning ecosystem readiness to continue the logic scaling roadmap

Kurt Ronse / Advanced Patterning Program Director imec, Leuven (Belgium)

11:00 - 11:20
INVITED – PMJ 2024 Best Poster Award
Ultimate mask resolution challenges for the beyond 2nm Technology

Izumi Hotei, Tsukasa Abe, Yukihiro Fujimura, Mei Ebisawa, Masataka Yamaji, Issei Sakai, Hideyuki Mitsui, Yasutaka Morikawa, Tatsuya Tomita, Shingo Yoshikawa, Naoya Hayashi , Dai Nippon Printing, Saitama (Japan)

Session 9: Mask Metrology, Tuning and Inspection  

11:20 – 11:40        
Invited
30 years AIMS® - from DUV to EUV

Ute Buttgereit and Thomas Scheruebel, Carl Zeiss SMT GmbH, Jena (Germany) 

11:40 – 12:00
Invited
High-NA EUV mask pattern characterization using advanced mask CD-SEM metrology

Joost Bekaert, Balakumar Baskaran, Vicky Philipsen, Lieve Van Look, Ardavan Niroomand, Eric Hendrickx, Hideaki Komami, Tatsuro Okawa, Soichi Shida, Shinichi Kojima, Toshimichi Iwai, imec, Leuven (Belgium), Advantest Corporation and Advantest America, Inc. 

12:00 - 12:15
New Optical Metrology Method for Measuring Shape of a Lithography Photo Mask 
Guillermo Castro Luis1, Kiril Ivanov Kurtev1,3, Miguel Jiménez1,3, Juan M. Trujillo Sevilla1, José Manuel Ramos-Rodríguez1, Jan O. Gaudestad2,3
1 Wooptix SL, La Laguna, Tenerife Canary Islands (Spain)
2 Wooptix SL, San Francisco, California (USA)
3 Universidad de La Laguna, ESIT, La Laguna, Tenerife Canary Islands (Spain)

12:15 - 12:30
Survey of critical applications for AFM in mask development, manufacturing, and mask repair
Sean Hand, Jason Osborne, Jorge Olivares Roriguez, Peter De Wolf,
Bruker Nano Surfaces and Metrology, Santa Barbara, California (USA) 

Session 10: Optical and E-Beam Direct Write, with  Applications for Photonics, AR/VR and Quantum Computing 

13:30 – 13:50
Invited
Character-projection e-beam lithography for micro- and nano-optical applications

Uwe D. Zeitner, Falk Eilenberger, Fraunhofer Institute of Applied Optics and Precision Engineering,Jena (Germany) and University of Applied Sciences Munich, Munich (Germany) 

13:50 – 14:10
Invited
Optimal shape approximation and writing strategy for integrated photonic waveguides using variable-shaped e-beam direct lithography

Kevin Edelmann, S. Fasold, M. Greul, J. Hartbaum, E. Linn, I. Stolberg, U. Weidenmueller, Institut für Mikroelektronik Stuttgart, Stuttgart (Germany) and Vistec Electron Beam GmbH, Jena (Germany)   

14:10 – 14:30
Invited
Traceability in Automotive Enabled by Digital Lithography

Ksenija Varga, M. Weinhart, R. Hollya, T. Zenger, B. Považay, T. Uhrmann, H. Takishita, Y. Taguchi, J. Koch, M. Schicke, EV Group, St Florian am Inn (Austria), Fujifilm Electronic Materials Japan, Yokohama, (Japan) and Fujifilm Electronic Materials Europe, Zwijndrecht (Belgium)   

14:30 - 14:45
Innovative photoresists and photopolymers enabling advanced manufacture of photonic and micro-optical applications
Arne Schleunitz, C. Schuster, A. Voigt, M. Russew, M. Lohse, M. Heinrich, G. Grützner /
micro resist technology GmbH, Berlin (Germany)

14:45- 15:00
E-beam lithography for quantum optics with lithium niobate on insulator
Victor Brasch1, N. Hoppe1, M. Kaschel2, S. Valligatl1
1 Q.ANT GmbH, Stuttgart (Germany)
2 Institut für Mikroelektronic Stuttgart (IMS Chips), Stuttgart (Germany)  

15:30 – 17:05           
Session 11: Nano-Imprint Lithography (NIL) 

15:30 – 15:50
Invited
NIL mastering using advanced manufacturing imaging technology

Bríd Connolly, Martin Sczyrba, Toppan Photomask Company, Dresden (Germany) and Advanced Mask Technology Center GmbH & Co. KG (AMTC), Dresden (Germany)

15:50 - 16:05 
Step-and-repeat Nanoimprint Lithography for master fabrication for Nanophotonic engineering
Céline Chevalier, Lydie Ferrier, Ha My Dang Nguyen, Jean-Louis Leclercq, Christian Seassal, CNRS, INSA Lyon, Ecole Centrale de Lyon, Universite Claude Bernard Lyon, Villeurbanne (France)  

16:05 - 16:20
Manufacturing of 2D/3D features on NIL masters 
Haiko Rolff, M. Sczyrba, M. Kristlib / Advanced Mask Technology Center GmbH & Co. KG (AMTC), Dresden (Germany)

16:20 - 16:35 
High potential of Nanoimprint lithography for LIDAR application
Jérôme Rêche1, Michael Haslinger2, Martin Eibelhuber3, Mikko Poutanen4, Kazuki Origuchi4
1 Univ. Grenoble Alpes, CEA-Leti, Grenoble (France)
2 PROFACTOR GmbH, Steyr (Austria)
3 EV Group, E.Thallner GmbH, St. Florian am Inn (Austria)
4 Inkron Oy, Espoo (Finland)

16:35 - 16:50 
Utilizing Inkjet Coating and Nanoimprinting for Complex 3D Patterns with Gradual Height Increase and Minimal Residual Layer
Thomas Achleitner, Johanna Rimböck, Lisa Vsetecka, Patrick Schuster /
EV Group, St. Florian am Inn (Austria)

16:50 - 17:05
Soft-Nano-Imprint-Lithography for Tunable Optical Metasurfaces: From Passive to Active Photonic Component
Yudha Ramanda1, Oumaima Meskini1,2, Marco Abbarchi2, Badre Kerzabi2, Victor Malgras1, Magali Putero3, David Grosso1,2
1 Center for Interdisciplinary for Nanoscience of Marseille, CINaM, Campus de Luminy, Marseille (France)
2 Solnil SAS, Marseille (France)
3 Institut of Materials Microelectronics and Nanosciences of Provence, IM2NP, Marseille (France) 

Session 12: Pattern Fidelity 

17:05 – 17:25  
Invited
Your IQ: Understanding Image Quality In Advanced Photomask Applications

Christopher Progler, Photronics, Inc., Plano, Texas (USA)   

17:25 – 17:45        

Invited
Revolutionizing Semiconductor Design and Manufacturing: from Manhattan to Curvilinear

Ryoung-han Kim, imec, Leuven (Belgium) 

17:45 – 18:05        

Invited
The Challenges and Limits to Patterning Using EUV Lithography

Harry J. Levinson, HJL Lithography, Saratoga, California (USA) 


           

Sponsors